首页 > 技术文章 > CLOCK_DEDICATED_ROUTE用法

xuzhi-fpga 2014-01-06 16:04 原文

      CLOCK_DEDICATED_ROUTE是一个高级约束,它指导软件是否遵循时钟配置规则。

当没有设置CLOCK_DEDICATED_ROUTE或设置为TRUE的时候,软件必须遵循时钟配置规则。

CLOCK_DEDICATED_ROUTE设置为FLASE的时候,软件进行操作:

     1 忽略到时钟配置规则

     2 继续布局布线

只有当必须违反时钟配置规则的时候才设置CLOCK_DEDICATED_ROUTE约束。

 

约束应用的单元:

     1  时钟BUFFER

     2  时钟管理快

     3  高速I/O

 

约束应用规则:

     1 NET

     2 INSTANCE PIN

 

约束值:

    1  TRUE

    2  FLASE

 

约束例子:

PIN “BEL_INSTANCE_NAME.PIN”  CLOCK_DEDICATED_ROUTE = {TRUE|FALSE};

BEL_INSTANCE_NAME.PIN是你要约束例子的input或者output 引脚,例如CLKIN input pin或者DCM

推荐阅读