首页 > 技术文章 > [Verilog] 读写文件

southernduck 2014-08-12 21:11 原文

对位宽为8的寄存器组rDATA[0:255]进行了初始化。

reg [7:0] rDATA;
initial
    begin
        $readmemh("sin.dat",rDATA);
    end

将rSR[3]写入文件。

reg [7:0] rSR[3];
integer fid;
initial 
    begin
        fid=$fopen("data_out.dat");
    end  
always @ (posedge rCLK)
    begin
        if(!rRST)
           $fdisplay(fid,"%h",rSR[3]);
    end

 

推荐阅读