首页 > 技术文章 > VSCode中设置Verilog编程环境

Epiapoq 2021-03-16 19:56 原文

1. 下载安装iVerilog,安装时选择添加环境变量

 

2. 在VSCode中安装插件"Verilog-HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code"

3. 下载ctags,解压后存放在合适的位置,拷贝文件夹中"ctags.exe"的路径,后面需要将此路径复制到上述Verilog插件的设置中

4. VSCode中的设置:File -- Preferences -- Settings进入Verilog插件的设置(视需要选择在User中还是Workspace中设置,自己用的话,User中设置一劳永逸)

 5. 语法错误会有波浪线提示,目前似乎没有比较好的方法解决不同文件之间例化不能识别的问题,暂时忽略

6. 解决VSCode不支持中文路径的问题(当Project所在路径中含有中文时,iVerilog会失效)。进入win10的区域设置,点击“其他日期、时间和区域设置”

重启后生效。(有人说这样设置会令其他软件出错,暂时没遇上,待考证)

VSCode中可以让源程序和测试程序左右对照,很适合教学。

 

参考来源:

https://oopsdump.com/blog/2020/01/vscode%E5%8A%A0iverilog%E7%8E%AF%E5%A2%83/

https://blog.csdn.net/xdfsa/article/details/105174254

 

推荐阅读