首页 > 技术文章 > FPGA--ISE约束文件UCF语法举例说明

pdf000 2017-10-13 21:51 原文

1、普通管脚约束举例

##
NET rst_n LOC = L3 | IOSTANDARD = "LVCMOS33";//将rst_n连接到FPGA的L3管脚(最好是将rst_n写成“rst_n”,避免因为使用与约束关键字或设计环境保留字相同的信号名而 产生错误信息);IO管脚的电平约束CMOS电压3.3V
##

 

2、时序约束举例

##
NET clk LOC = T8 | TNM_NET = sys_clk_pin | IOSTANDARD ="LVCMOS33";   //将clk连接到FPGA的T8管脚(最好是将clk写成“clk”,避免因为使用与约束关键字或设计环境保留字相同的信号名而产生错误信息);建立以网络clk驱动的约束组sys_clk_pin;IO管脚的电平约束CMOS电压3.3V
TIMESPEC TS_sys_clk_pin = PERIOD sys_clk_pin 50000 kHz;   //对约束组sys_clk_pin进行周期约束TS_sys_clk_pin(格式就是TS_约束组名),频率是50MHz
##

 

注意:在约束文件中该空格的地方一定要空格,比如说或符号“|”的前后最好都空格,还有等号“=”的前后最好都空格。如果该空格的地方没有空格,在Implement Design 的时候会出错。

 

推荐阅读