首页 > 技术文章 > UVM -- UVM_VERBOSITY 打印信息的控制

thisway2014 2022-05-20 11:02 原文

  • UVM通过冗余度级别的设置提高了仿真日志的可读性。

  • 在打印信息之前,UVM会比较要显示信息的冗余度级别与默认的冗余度阈值 ,如果小于等于阈值,就会显示,否则不会显示。

  • 默认的冗余度阈值是UVM_MEDIUM,所有低于等于 UVM_MEDIUM(如UVM_LOW)的信息都会被打印出来。

image

如果冗余度UVM_VERBOSITY 阈值设置 为UVM_HIGH(UVM_LOW、UVM_MEDIUM、UVM_HIGH的信息都会被打印)

推荐阅读