首页 > 技术文章 > UVM入门和进阶3

xuanlee 2021-01-31 22:46 原文

1. 组建家族

 注册的类分为两类:object和component,其中component继承于report_object

component相比object,新添加的方法:report,override,phase机制

config_db依赖于字符串的层次关系做配置

testbench都是软件的实例

Q:为什么UVM中需要有sequencer?

Q:为什么需要sequence和sequencer共同扮演generator?

Q:哪些特性是UVM相比SV的优势?

概述

  • SV环境中验证组件按照功能分为stimulator,monitor和checker
  • 这三个核心组件对应的关键特性:灌激励,集响应,作比较
  • UVM component从UVM基类继承过来的只有一个核心分支:uvm_component
  • uvm_component类继承的类均可以构成验证环境,他们都会经历phase阶段

2. uvm_driver

 

3. uvm_monitor

 

4. uvm_sequencer

 

5. uvm_agent

 

6. uvm_scoreboard

 

7. uvm_env

 

8. uvm_test

推荐阅读