首页 > 技术文章 > MRCC和SRCC(multiregion /single region clock-capable)

amxiang 2021-08-03 15:23 原文

Xilinx 7系列FPGA专用时钟引脚标志

相信许多同学们都知道FPGA内部的全局时钟网络质量特别高,时钟偏移、到达不同寄存器的时钟延迟比较小。进入全局时钟网络有几种方法:
1、经过专用时钟引脚引入的时钟
2、PLL输出的时钟
3、经过BUFG输出的时钟
写的不全,但是以后会继续补充。

那么如何判断FPGA的时钟引脚是专用时钟引脚呢?
对于7系列的FPGA专用时钟引脚主要会标志上:SRCC、MRCC
UG472中的内容如下:
在这里插入图片描述

推荐阅读