首页 > 技术文章 > vivado 使用define 宏

amxiang 2021-07-13 13:13 原文

`define:作用 -> 常用于定义常量可以跨模块、跨文件;

               范围 -> 整个工程。


 

宏定义定义和使用步骤如下:

1.正确添加头文件步骤如下

2.编辑预定义代码

3.设置头文件属性(此步骤做不做都可)

4.在文件中使用宏定义

(1)首先,在此文件中添加文件头:`include "axi_lite_reg_define.vh"

(2)其次,在此文件中使用宏定义:

注:符号"`"为键盘按键(既含有波浪线又含有顿号的那个按键。键盘切换到英文状态,按一下这个按键即可)。

注:文中的.vh文件也可以是.v文件。

推荐阅读