首页 > 解决方案 > System Verilog - 从测试台读取一行并拆分数据

问题描述

我是 SystemVerilog 编程的初学者。我有一个名为“input.in”的文件,它有大约 32 位数据。该值仅存在于文件的一行中。从测试台发送的数据必须拆分为一个数组或 4 个变量,每个变量只包含 8 位输入。请。来人帮帮我 :(

标签: arrayssystem-verilogtest-bench

解决方案


我认为,您想将 32 位数据拆分为 4 个字节的数据。请尝试以下方法:

{>>{a,b,c,d}} = var_32_bit ; //a,b,c,d 是 8 位变量。// var_32_bit 是 32 位大小的数组或 32 位变量。{位 a[] 或位 [31:0]}

这是你需要的吗?


推荐阅读