首页 > 解决方案 > 监视器/驱动程序与其 BFM 之间的虚拟接口 ??? 它们实际上是什么,有人可以解释一下吗?

问题描述

我正在阅读 UVM 食谱,我对监视器、驱动程序和他们的 BFM 之间的虚拟接口连接感到困惑。这是否意味着可能有多个驱动程序或监视器,或者这与不知道其监视器或驱动程序的接口无关。有人可以帮忙吗?

标签: system-veriloguvmeda

解决方案


该关键字virtual在 SystemVerilog 中多次重复使用。接口是虚拟的,因为它的层次路径是在运行时通过变量传递来设置的。Verilog/SystemVerilog 中的所有其他连接都是固定路径。

这确实允许您将相同驱动程序代码的多个实例连接到多个接口实例。它还有助于块到系统的重用,因此您可以在接口深入到系统级别时更改分层路径。


推荐阅读