首页 > 解决方案 > 为什么我会得到这个“4 个可能的定义”VHDL 连接错误

问题描述

我收到此行的错误消息:

DATA (15 DOWNTO 0)  <=  regs(to_integer(unsigned(REG_ADR_HI & REG_ADR_LO)) - 40000);

错误 (10327):main.vhd(216) 处的 VHDL 错误:无法确定运算符“&”的定义——找到 4 个可能的定义

REG_ADR_HI,REG_ADR_LO并且DATA都是STD_LOGIC_VECTORs - (7 DOWNTO 0),(7 DOWNTO 0)并且(15 DOWNTO 0)

可能我一次使用了许多库。但不确定到底出了什么问题。

当我尝试这样做时,我得到了另一个:

错误 (10327):main.vhd(216) 处的 VHDL 错误:无法确定运算符“&”的定义——找到 0 个可能的定义

那么我该如何解决呢?

标签: vhdl

解决方案


推荐阅读