vhdl - 赛灵思 ISE:未声明
问题描述
我正在为 vga 控制器编写代码,在顶部模块 Xilinx ISE 中给出了这个错误:
<vga> 未声明
虽然我已将端口映射到顶部模块
代码
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity vga_controller is
port(mclk : in std_logic;
button: in std_logic;
hs: out std_logic;
vs: out std_logic;
red: out std_logic_vector (3 downto 0);
green: out std_logic_vector (3 downto 0);
blue: out std_logic_vector (3 downto 0)
);
end vga_controller;
architecture vga_controller of vga_controller is
signal clk25,clr,video :std_logic;
signal hc,vc:std_logic_vector (9 downto 0);
begin
clr<=button;
M2: vga
port map (clk25,button,hs,vs,hc,vc,video);
M3: vga_plotter
port map(hc,vc,video,red,green,blue);
end vga_controller;
解决方案
您没有 VGA 组件的组件声明。
推荐阅读
- css - 页面上有 2 个不同的列表 - 主版本和第二版本
- javascript - Magento 2.3.3:用两个变量在 javascript 中翻译
- analytics - 如何在谷歌标签管理器中删除任何标签的 URL 查询(不仅是谷歌分析)?
- c - 我可以运行带参数和不带参数的 C 函数吗?
- oracle - 如何用海量数据测试Oracle功能?
- python - 从 VTK 文件中读取节点/单元格/点的位置坐标
- php - 无法使用 Page Builder 更新主题页面
- javascript - 如何使用 php 和 ajax 创建对象数组
- java - ParseServer i/o 失败的应用程序部署问题
- r - 如何计算文件中行的频率