首页 > 解决方案 > Eclipse 中的 Sigasi

问题描述

我刚刚在 Eclipse 中安装了 Sigasi Studio 插件(版本:Eclipse IDE 2018-12)。当我尝试启动它以制作新的 VHDL 文件时,我得到以下信息:

无法启动选定的向导。org/eclipse/lsp4j/Range (发生在 com.sigasi.hdt.vhdl.ui.VhdlExecutableExtensionFactory) org/eclipse/lsp4j/Range

请问我怎么解决?先感谢您。

标签: eclipse-pluginvhdlsigasi-studio

解决方案


感谢 Sigasi 的支持,我能够解决这个问题。他们给我写信:

lsp4j 插件版本是 Sigasi Studio 4.2 附带的 xtext 版本的最新版本。此问题已在 4.3 版的预览频道中得到解决。因此 - 如果您希望使用 Sigasi Studio 的插件版本 - 我建议按照 http://insights.sigasi.com/tech/preview.html上说明的步骤安装 4.3 预览版。

就这样。现在,我想用 GHDL(作为编译器,当我运行项目时)和 GTKWAVE(广告波形查看器)配置 Sigasi。我怎样才能做到这一点?

提前致谢。


推荐阅读