首页 > 解决方案 > “if”如何与verilog中的寄存器一起使用?

问题描述

a = reg[3:0]。“a”的哪些值在“if(a)”中返回 true?。寄存器 a 的哪个单元格以以前的格式进行“if”检查?它是否仅在 a=0000 时返回 0,或者是否存在使 if(a)=0 的 a 的其他值?

标签: if-statementverilog

解决方案


如果areg [3:0],则仅当 时才计算为false内部if语句a == 4'b0000


推荐阅读