首页 > 解决方案 > 使用 GHDL 仿真器编译整个 Xilinx ISE unisims 和 XilinxCoreLib 库(减去安全 ip)

问题描述

我很好奇是否有人有幸为 GHDL 模拟器编译了整个 Xilinx ISE unisims 库?我试图只为 unisims 编译包文件,但它给了我一个错误。

我想知道它是否会通过注释掉 VHDL 代码中不受支持的部分来完全编译……或者还有其他事情需要完成,例如编译不同的重要库或使用不同的版本 --std。因为我知道我可以取出一个 unisims 组件并使用 ghdl 进行编译……只是想知道如何完成其​​余 90% 的组件。

$ ghdl -a --std=08 --work=unisims C:/Xilinx/14.7/ISE_DE/ISE/vhdl/src/unisims/unisim_VCOMP.vhd

$ ghdl -a --std=08 --work=unisims C:/Xilinx/14.7/ISE_DE/ISE/vhdl/src/unisims/unisim_VPKG.vhd

ERROR: ../../src/vital2000/timing_p.vhdl:152:14: result subtype of a pure function cannot have access subelements

标签: vhdlxilinx-iseghdl

解决方案


GHDl 随附用于预编译 Xilinx ISE 原语库(unisim、unimacro、uniprim 等)等库的脚本。

请参阅此文档:https ://ghdl.readthedocs.io/en/latest/building/PrecompileVendorPrimitives.html

您可以在详细或调试模式下运行脚本,以查看对 GHDL 的所有命令行调用和应用的参数。

重击:

./compile-xilinx-ise.sh -d

电源外壳:

.\compile-xilinx-ise.ps1 -Debug

推荐阅读