vhdl - vhdl 错误:在“梯子”附近:(vcom-1576)期待 IS。#
问题描述
在“梯子”附近:(vcom-1576) 期待 IS。在“梯子”附近:(vcom-1576) 期待 IS。在“梯子”附近:(vcom-1576) 期待 IS。在“梯子”附近:(vcom-1576) 期待 IS。在“梯子”附近:(vcom-1576) 期待 IS。
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_arith.ALL;
ENTITY tb ladder IS
END tb ladder;
ARCHITECTURE behavior OF tb ladder IS
COMPONENT ladder
PORT(A : IN std_logic_vector(3 downto 0);
B : IN std_logic_vector(3 downto 0);
CIN : IN std_logic;
SUM : OUT std_logic_vector(3 downto 0);
COUT : OUT std_logic
);
END COMPONENT;
signal A : std_logic_vector(3 downto 0) := (others => '0100');
signal B : std_logic_vector(3 downto 0) := (others => '1001');
signal CIN : std_logic := '0';
signal SUM : std_logic_vector(3 downto 0);
signal COUT : std_logic;
BEGIN
uut: ladder PORT MAP (
A => A,
B => B,
CIN => CIN,
SUM => SUM,
COUT => COUT
);
stim_proc: process begin
wait for 2 ns;
A <= "0100";
wait for 5 ns;
B <= "1001";
wait for 3 ns;
CIN <= "O";
wait for 2 ns;
A <= "1101";
wait for 5 ns;
B <= "0011";
wait for 3 ns;
CIN <= "1";
wait for 2 ns;
A <= "0100";
wait for 5 ns;
B <= "0110";
wait for 3 ns;
CIN <= "0";
wait for 2 ns;
A <= "1101";
wait for 5 ns;
B <= "1100";
wait for 3 ns;
CIN <= "1";
wait for 2 ns;
A <= "0100";
wait for 5 ns;
B <= "1001";
wait for 3 ns;
CIN <= "0";
end process;
END ;
所以,我的错误是:
错误:C:\Modeltech_pe_edu_10.4a\examples\tb ladder.vhd(7):在“梯子”附近:(vcom-1576) 期待 IS。
如果您知道我的错误,请为我更正我的代码。
解决方案
实体名称必须是一个单词。你有tb ladder
并且编译器期望有一个单词后跟IS
. 使用类似的名称tb_ladder
来解决此问题。
推荐阅读
- java - 在while循环java中打印出偶数和奇数的总和
- node.js - 将带有 mp4 的字幕文件 (.VTT) 从 Node/Express 发送到 Angular
- android - 具有协程范围的 BindingAdapter
- python - 如果发现其他跳过,如何获取 webelements 值
- arrays - 将数组的每个元素作为菜单分别添加到 DIALOG 命令中
- java - 用 maven-shade-plugin 替换/删除原始 jar
- python-3.x - “AssertionError:找不到需要填充的字段;我们很惊讶您收到此错误,请在 github 上打开一个问题”错误发生
- hibernate - 具有多租户问题的 Hibernate Search 6,HSEARCH000520,HSEARCH600029
- c++ - 为什么我收到未在此范围内声明的错误?
- regex - 正则表达式抓住一个词