首页 > 解决方案 > 如何按UVM顺序访问变量

问题描述

我正在学习 UVM 并且对如何访问序列变量感到困惑。例如,这是一段从 UVM_sequence 派生的 base_sequence 代码。现在我想从测试顶部更改 n_times 的值,我怎样才能知道序列的层次结构以便我可以使用 UVM_config_db?

class base_sequence extends uvm_sequence;
  ...... 
  my_data  data_obj;
  int unsigned      n_times;
  .......

  virtual task body ();
     ...... 
     repeat (n_times) begin
        start_item (data_obj);
        assert (data_obj.randomize ());
        finish_item (data_obj);
     end
  endtask
  ...... 

终级

谢谢。

标签: uvm

解决方案


感谢马修泰勒的信息。我还找到了另一种在序列中设置变量的方法。 这是此链接


推荐阅读