首页 > 解决方案 > VHDL 定点算子 (**)

问题描述

我正在用 VHDL 编码 GL 小数运算符以放在 FPGA 上。我正在使用 IEEE.fixed_pkg 包来获得 ufixed 和 sfixed 类型及其操作

问题是我需要在某些部分进行幂计算(h**alpha),其中 h 和 alpha 都是固定数字。

当我尝试使用 ufixed 的 ** 运算符时,我得到:中缀运算符“ ** ”没有可行的条目。我意识到这个操作没有在这个包中实现

现在,有没有一种方法可以提高固定数字(基数和指数)的权力,而无需自己编写实现操作?(因为这不是项目的重点)。

标签: mathvhdlfixed-point

解决方案


推荐阅读