vhdl - DirectVHDL 警告 C0007:架构有未绑定的实例
问题描述
我编写的代码有问题。尝试模拟时,我不断收到错误消息。 警告 警告 C0007:架构有未绑定的实例(例如 shifter2)。
这是我的代码。我正在使用 DirectVHDL - PE
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity multi3 is
port (
C : in std_logic_vector (7 downto 0);
D : out std_logic_vector (10 downto 0));
end multi3;
architecture behavioral of multi3 is
component shifter
port (
Rin : in std_logic;
A : in std_logic_vector(7 downto 0);
B : out std_logic_vector(7 downto 0);
Lout: out std_logic);
end component;
signal E, F : std_logic_vector (7 downto 0);
signal L1, L2 : std_logic;
begin
shifter1 : shifter port map('0',C,E,L1);
shifter2 : shifter port map('0',E,F,L2);
D<=('0' & L1 & L2 & F)+C;
end Behavioral;
解决方案
推荐阅读
- swift - 如何根据下面的json代码创建一个struct来解析成功?
- java - 如何将 Mainactivity.java 中的数据获取到 adapter.java 以供其 textview .setText 进行查看?
- assembly - 汇编语言:如何垂直打印字符串?
- matlab - 如何通过计算更多点来使用 Matlab 放大 Mandebrot 集?
- html - 如何对齐和调整引导列的大小?
- sql - how to update field amps when thickness is between 'xxx'
- apache-camel - 使用 Redhat Fuse Karaf 6.3 与 OSGi 蓝图进行集成
- silverstripe - SilverStripe LeftModelAdmin 列表视图未显示所有列
- javascript - 如何访问 django python 在 htmlpage 的 javascript 部分中返回的 JSON 数据
- python - 如何将从 1 开始的整数值转换为一个热值?