首页 > 解决方案 > 变更单分析设计文件使用 Vivado HLS 2019 归档我的项目

问题描述

我正在使用 xlininx 的 vivado xls 创建一个顶级函数。在我的项目中有5个文件:

当我运行 C Synthesys 时,文件的分析按以下顺序开始:

1-util.c

2- sidh.c

3- P434.c

我希望分析文件设计的顺序是:

1-util.c

2- P434.c

3- sidh.c

我怎样才能做到这一点?

标签: cxilinxvivadosynthesisvivado-hls

解决方案


当一个文件具有声明而另一个文件依赖于该声明时,需要特定的编译顺序。Vivado IDE 控制从 Sources 窗口 Compile Order 窗口中显示的图形层次结构的顶部到底部的 RTL 源文件编译。Vivado 工具会自动识别和设置最佳候选顶级模块,并自动管理编译顺序。顶层模块文件和活动层次结构下的所有源以正确的顺序传递给综合和仿真。

要在综合前修改编译顺序,请选择一个文件,然后右键单击 Hierarchy Update > Automatic Update,Manual Compile Order,以便 Vivado IDE 可以自动确定设计的最佳顶层模块并允许手动指定编译顺序。

有关 vivado 合成的更多信息,请参阅本指南: https ://www.xilinx.com/support/documentation/sw_manuals/xilinx2019_1/ug901-vivado-synthesis.pdf


推荐阅读