首页 > 解决方案 > 案子?VHDL2008匹配case语句

问题描述

VHDL 2008 引入了一个很好的特性,称为“匹配案例语句”。

我应该使用“案例”吗?关键字或“案例?” 也允许中间有空格吗?

我不清楚。Lattice LSE 允许两者。Synplify 只接受第一个。

标准中的定义(第 10.9 节)是:

case_statement ::=
    [ case_label : ]
    case [ ? ] expression is
        case_statement_alternative
        { case_statement_alternative }
    end case [ ? ] [ case_label ] ;

标签: syntaxvhdl

解决方案


案子?是关键字。没有空间。


推荐阅读