首页 > 解决方案 > 如何在verilog中给二维数组一个初始值

问题描述

我正在研究一个涉及一个主设备和三个从设备的 SPI 模块。在测试台上,我想通过作为 SPI 模块输入的多维数组为每个从机提供并行负载

reg [7:0] slaves_inload [3:1]= ?

我不知道我应该在 RHS 上写什么。假设我想分别传递 :8'b111011018'b10101010奴隶8'b10001000[1]、[2]、[3]。

标签: verilogsystem-verilog

解决方案


初始化数组的一种方法如下:

reg [7:0] slaves_inload [3:1] = '{8'b10001000, 8'b10101010, 8'b11101101};
                                 //    3            2            1

此语法可在 IEEE Std 1800-2017 的 10.9.1 Array assignment patterns部分中看到。


推荐阅读