首页 > 解决方案 > 在 Quartus prime(verilog)中从内存中读取文件时出错

问题描述

我正在尝试使用“$readmemh”函数读取存储在我计算机中的 quartus prime 中的 .txt 文件,但它似乎不起作用。我收到一个错误,例如..

错误 (10054): Verilog HDL File I/O error at scratch.v(8): 无法打开 Verilog 设计文件“img_process_data_text.txt”。

代码:

module scratch(clk,addr,out);

input clk;
input[1:0] addr;
output reg out;
reg [3:0] mem [3:0];
initial 
$readmemh("img_process_data_text.txt",mem);
always @ (posedge clk)
out<=mem[addr];
 endmodule

我也用img_process_data_txt的全路径目录试过了,还是一样的错误

谁能帮我这个?

标签: verilogfpgaquartus

解决方案


文本文件不在目录中,或者是权限问题。如果您在 Linux 中,请尝试:

ls -la <directory_where_is_the_txt_file>

并查看所有权。


推荐阅读