首页 > 解决方案 > 使用ISE模拟与Modelsim连接的程序时映射库失败

问题描述

错误:无法映射库原因:无法执行“vmap”:没有这样的文件或目录`

我曾尝试重新编译 Xilinx 库,但失败了。有人可以抽出时间给我一些帮助吗?

标签: hardwaremodelsimsimulatexilinx-ise

解决方案


推荐阅读