system-verilog - |-> systemverilog 中的含义
问题描述
在systemverilog中是什么|->
意思?
例如:
$fell(clkreq_hold) |-> ##1 $past(clkreq)
|->
和有什么区别->
?
解决方案
->
是一个逻辑蕴涵运算符(参见 11.4.7 逻辑运算符)A->B
等价于布尔表达式!A || B
。
|->
是用于重叠蕴涵的属性运算符。(参见 SystemVerilog IEEE 1800-2017 LRM 中的第 16.12.7 节)sA |->pB
在序列成功的时钟周期内sA
,开始尝试查看属性是否pB
成功。当 sA 和 pB 都是简单的布尔表达式时,它们看起来好像具有相同的功能。除非,当 sA 为假时,暗示被认为是空洞的成功。(不包括成功通过的计数)
推荐阅读
- javascript - 事件处理程序未委托给父元素
- flutter - 尝试在 BottomAppBar 中嵌入 TextField 或 TextFormField
- php - PHP调用数组中的索引位置
- openlayers - 在 openlayers 的共享视图中使用同一层
- postgresql - 使用 Shell 脚本将 JSON 文件插入到 postgres
- php - 分类页面 - 更好的图像检索解决方案?n * scandir() OR n* mysql 查询或完全连接?
- opencv - 实时关键点检测算法
- javascript - 从数组中的项目中提取重复字符串
- reactjs - React.js 错误:服务工作者导航预加载请求在“preloadResponse”解决之前被取消
- swift - SwiftUI 上的 iOS 14 小部件与情节提要上的项目