首页 > 解决方案 > GHDL编译的问题

问题描述

我是 GHDL 软件的新手。在为 windows 下载 ghdl 后,我检查了一个简单的半加法器程序。使用命令时

ghdl -e ha_tb
ghdl -r ha_tb

我收到这样的错误... ghdl.exe: Cannot find entity or configuration ha_tb

使用的 Ghdl 版本 - 0.33 - win32:https ://sourceforge.net/projects/ghdl-updates/files/Builds/ghdl-0.33/ 请帮帮我

标签: compiler-errorsvhdlghdlgtkwave

解决方案


推荐阅读