首页 > 解决方案 > Xilinx ISE 综合时序报告中的(异步控制信号信息)小节应该包括什么?

问题描述

我在这里为带有异步复位输入的 DFF 编写了一个简单的 VHDL 代码:

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;

entity DFF is
    port (d: in  STD_LOGIC;
          q: out  STD_LOGIC;
          clk: in  STD_LOGIC;
          reset: in  STD_LOGIC);
end DFF;

architecture Behavioral of DFF is
begin
    process (reset, clk) begin
        if (reset = '1') then 
            q <= '0';
        elsif (rising_edge(clk)) then 
            q <= d;
        end if;
    end process;
end Behavioral;

其中有一个异步复位“控制”引脚。Timing Report中有一个小节叫做“异步控制信号信息”这是它的内容:

Asynchronous Control Signals Information:
----------------------------------------
No asynchronous control signals found in this design

如果这部分不包括异步复位,它应该包括什么?

标签: vhdlsynthesisxilinx-ise

解决方案


推荐阅读