vhdl - Xilinx ISE 综合时序报告中的(异步控制信号信息)小节应该包括什么?
问题描述
我在这里为带有异步复位输入的 DFF 编写了一个简单的 VHDL 代码:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity DFF is
port (d: in STD_LOGIC;
q: out STD_LOGIC;
clk: in STD_LOGIC;
reset: in STD_LOGIC);
end DFF;
architecture Behavioral of DFF is
begin
process (reset, clk) begin
if (reset = '1') then
q <= '0';
elsif (rising_edge(clk)) then
q <= d;
end if;
end process;
end Behavioral;
其中有一个异步复位“控制”引脚。Timing Report中有一个小节叫做“异步控制信号信息”这是它的内容:
Asynchronous Control Signals Information:
----------------------------------------
No asynchronous control signals found in this design
如果这部分不包括异步复位,它应该包括什么?
解决方案
推荐阅读
- android - Android:如何针对特定 Dpi 屏幕进行目标布局
- fortran - Fortran 90中数组的维度
- objective-c - 如何在mapbox ios中显示源中添加的折线?
- javascript - 如何在节点中将 Hex 转换为 Unit8Array?
- typescript - Typescript 导入类型 Eslint:导入/命名
- office365 - 如何在 Yammer 上存储用户的点赞、创建等操作?
- c++ - Gradle:Java/Kotlin、C++、ProtoBuf 在同一个项目中
- microservices - pub/sub 通信和 Actor
- c# - 从文本文件中读取某些数据
- c# - 在 VSIX 中替换 .csproject 文件的名称