首页 > 解决方案 > 无法从/在 SRAM 中加载/存储数据:读取数据未知

问题描述

我有一个与 SRAM 存储器的 Verilog 实现相关的问题。模块sram_1port应该是具有读使能信号和写使能信号的时钟寻址可寻址SRAM存储器。模块control_sram应该在 SRAM 中读/写数据。数据以连续的内存地址连续存储。当我尝试模拟电路行为时会出现问题,因此rd_data在整个模拟过程中信号是不确定的。因此,内存内容无法输出,我什至不知道为什么。数据存储的时候有问题,或者内容应该输出的时候有问题,还是两者都有问题?

在这里你可以看到图表

module sram_1port(
    input clk,
    input [15:0] address,
    input wr,rd,
    input [2:0] wr_data,
    output reg [2:0] rd_data
);

reg [2:0] mem_reg [15:0];

always @ (posedge clk) begin
    if(wr) mem_reg[address] <= wr_data;
    else if(rd) rd_data <= mem_reg[address];
end

endmodule
//automaton
module control_sram(
    input clk, wr, rd,
    input [2:0] wr_data,//read 1 instruction/clk
    output [2:0] rd_data,//output
    output reg [15:0] out//outputs address
);

reg [15:0] address,address_rd,address_wr;

initial address = 16'd0;
initial address_wr = 16'd0;
initial address_rd = 16'd0;

sram_1port i0(.clk(clk),.address(address),.wr(wr),
.rd(rd),.wr_data(wr_data),.rd_data(rd_data));

always @(posedge clk) begin
    if(wr) begin
        address_wr = address_wr + 1;
        address = address_wr;
        address_rd = 16'd0;
    end
    else if(rd) begin
        address_rd = address_rd + 1;
        address = address_rd;
        address_wr = 16'd0;
    end
end

always @ * out = address;

endmodule
//tb for control_sram
module control_sram_tb(
    output reg clk,wr,rd,
    output reg [2:0] wr_data,
    output [2:0] rd_data,
    output [15:0] out
);

control_sram cut(.clk(clk),.wr(wr),.rd(rd),.wr_data(wr_data),
.rd_data(rd_data),.out(out));

initial $dumpvars(0,control_sram_tb);

initial begin
    clk = 1'd1;
    repeat (260000)
    #100 clk = ~clk;
end

initial begin
    wr_data = 3'd1;
    #3000000 wr_data = 3'd2;
    #1000000 wr_data = 3'd1;
    #3000000 wr_data = 3'd0;
    #2000000 wr_data = 3'd3;
    #1000000 wr_data = 3'd1;
end

initial begin
    rd = 1'b0;
    #13000000 rd = 1'b1;
end

initial begin
    wr = 1'b1;
    #13000000 wr = 1'b0;
end

endmodule
 

标签: memoryverilogicarus

解决方案


当我运行您的仿真时,我看到rd_data在 13_000_200ns 时间从 X 变为 1,并在 1 保持 3_000ns,然后它返回到 X。如果您将波形放大到该时间间隔,您应该会看到。如果您在 icarus 中没有看到,请使用不同的模拟器在 edaplayground 上运行您的模拟。

此外,您声明您的内存 ( mem_reg) 有 16 个位置 ( [15:0])。但是,我看到您address在写入和读取时对 17、18、19 等值的看法。您试图访问不在您记忆中的位置似乎很奇怪。当您为读取执行此操作时,您会得到 X(如预期的那样)。似乎您只需要一个 4 位地址,而不是 16 位地址。


推荐阅读