首页 > 解决方案 > 如何为verilog中的寄存器赋值

问题描述

我是verilog的新手。谁能告诉我下面的声明是做什么的?

\\

注册计数器[15:0]=0;

\\

这是否意味着计数器的第一个索引为零?

标签: verilog

解决方案


您显示的语法是非法的,应该产生编译器错误。它试图将一个整数值初始化为一个未打包的 1 位变量数组。


推荐阅读