首页 > 解决方案 > 如何在 vhdl 中初始化大小数组

问题描述

我正在尝试用全零初始化大小为 4 的 VHDL 数组。请让我知道我该怎么做?


entity js is
   port (
        clk: in std_logic;                      ----------  clock
        S1_vec : in  t_1d_array;                ----------  S1 vector/array of cross-section 4 [0 0 0 0]
        S2_vec : in  t_1d_array;                ----------  S2 Vector/array of cross-section 4 [0 0 0 0]
        J_outp : out integer            
        );
end js;

标签: arraysvhdlxilinx

解决方案


推荐阅读