首页 > 解决方案 > 无法使用 VHDL 2008 Quartus Prime 编译

问题描述

我正在使用 Quartus Prime Lite Edition,我想像这样在 std_logic_vector 上使用一元运算符nand

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity example1 is
    port( 
        BIN : in std_logic_vector (7 downto 0);
        result : out std_logic
    );
end;

architecture Beh of example1 is
begin
    
    result <= nand BIN;
        
end Beh;

我试图按照这个说明,在Compiler Settings的VHDL Input下更改了VHDL 版本。仍然没有效果并得到: 错误(10500):lab2.vhd(16)靠近文本“nand”的VHDL语法错误;期望“(”,或标识符(“nand”是保留关键字),或一元运算符

标签: vhdlintelquartus

解决方案



推荐阅读