首页 > 解决方案 > VHDL中的TO_INTEGER问题我找不到解决方案

问题描述

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE IEEE.numeric_std.ALL;

ENTITY mult4 IS
    PORT (
        a, b : IN std_logic_vector(3 DOWNTO 0);
        cout : OUT std_logic_vector(7 DOWNTO 0)
    );
END mult4;

ARCHITECTURE behave OF mult4 IS
    SIGNAL result : std_logic_vector(7 DOWNTO 0);
BEGIN
    arith_process : PROCESS (a, b)
        VARIABLE p : INTEGER RANGE 0 TO 255;

    BEGIN
        p := TO_INTEGER ('0' & a) * TO_INTEGER('0' & b);
        result <= TO_STDLOGICVECTOR(p, 8);
    END PROCESS arith_process;
    cout <= result;
END behave;

我遇到了 TO_INTEGER 的问题,我试图修复它但没有奏效,我是 VHDL 的新手,我没有找到解决方案。

错误 (10405):mult4.vhd(19) 处的 VHDL 错误:无法确定标识符“TO_INTEGER”处或附近的对象类型——找到 0 个可能的类型

标签: vhdl

解决方案


推荐阅读