首页 > 解决方案 > 在扩展黑盒的类中使用凿子中的 CustomBundle 模板

问题描述

我有一个包含位宽信息和信号方向性的信号列表。我想即时创建这些 IO 并使用扩展 Record 的 CustomBundle。我能够在不扩展 BlackBox 的普通类中做到这一点。但是,当我在扩展黑盒的类中使用 CustomBundle 时,我遇到了错误。可以在扩展黑盒的类中使用 CustomBundles 吗?如果没有,除了脚本之外还有其他解决方法,以便我可以自动构建黑盒类中的信号。

标签: scalachisel

解决方案


我想出了一个办法。为各种信号类型创建了一个扩展 Record 的类,然后使用黑盒内的捆绑包对它们进行分组并建立连接。

更新:我不必在 verilog 文件中重命名我的信号编辑:为记录添加示例

import chisel3._
import chisel3.stage.ChiselStage
import chisel3.util.{HasBlackBoxInLine}
import scala.collection.immutable.ListMap

class MyBlackBox extends BlackBox with HasBlackBoxInline{
    val io = IO(new MyBundle)
    setInline("My_Verilog.v",
             s"""
             |module MyBlackBox(
             |  input a,
             |  input b,
             | output c
             |);
             |always @* begin
             | c < = a & b
             |end
             |endmodule
             """.stripMargin)
}

class MyBundle extends Record{
    val signalName = Seq(a,b)
    val elements_i = ListMap(Seq.tabulate(2){i => signalName(i) -> Input(UInt(1.W))}:_*)
    val elements_o = ListMap(Seq.tabulate(1){i => c -> Output(UInt(1.W))}:_*)
    val elements = elements_i ++ elements_o
    override def cloneType: this.type = (new MyBundle).asInstanceOf[this.type]
}

推荐阅读