首页 > 解决方案 > 为什么会显示“ERROR:HDLCompiler:806 -”C:\Users\dell\Desktop\EE240\lab5\deniyoruz\eponentmunber.vhd” 第 26 行:“end”附近的语法错误

问题描述

图书馆 IEEE;使用 IEEE.STD_LOGIC_1164.ALL;

实体 exponentnumber 是 PORT ( exponent: in STD_LOGIC_VECTOR(2 DOWNTO 0); binaryy: out STD_LOGIC_VECTOR(7 DOWNTO 0));

结束指数;

exponentnumber 的体系结构数据流是

以指数开头 select binaryy <= "00000001" when "000", "00000010" when "001", "00000100" when "010", "00001000" when "011", "00010000" when "100", "00100000"当“101”时,“01000000” 当“110”时,“10000000” 当“111”时

结束数据流;

标签: processsyntax-errorvhdl

解决方案


推荐阅读