首页 > 解决方案 > 在 ModelSim 中覆盖 default_nettype

问题描述

我想default_nettype在不显式修改每个文件中的相应编译器指令的情况下更改我的 RTL 设计。

有没有办法在 ModelSim 软件中覆盖它?

标签: verilogsystem-verilogmodelsim

解决方案


你的问题的答案是否定的。


推荐阅读