vim - 需要帮助在 VIM 中自动缩进 verilog 或 systemverilog 代码
问题描述
initial begin
if () begin
a=b;
c=d;
end
else begin
c=d;
c=a;
end
end
我已经尝试过,gg=G或选择代码和 =不起作用。你能帮我吗?代码不可读。
解决方案
您可以将文件类型设置为verilog
by :set filetype=verilog
。或者您可以将文件另存为tmp.v
并重新打开它。
下面是$VIMRUNTIME/filetype.vim
其中定义了文件类型和文件扩展名之间的关系。
" Vera
au BufNewFile,BufRead *.vr,*.vri,*.vrh setf vera
" Verilog HDL
au BufNewFile,BufRead *.v setf verilog
" Verilog-AMS HDL
au BufNewFile,BufRead *.va,*.vams setf verilogams
" SystemVerilog
au BufNewFile,BufRead *.sv,*.svh setf systemverilog
" VHDL
au BufNewFile,BufRead *.hdl,*.vhd,*.vhdl,*.vbe,*.vst,*.vho setf vhdl
推荐阅读
- python - 如何将实时预测结果保存到 csv 文件中?
- cloud-foundry - 我们可以在运行时更改/修改 PCF(Pivotal Cloud Foundy)中的环境吗?
- python - 部署 python 应用程序
- r - 滚动窗口计算 R 中的风险价值
- javascript - 已部署的 React 前端未与节点后端通信 - Cpanel 配置?
- java - 加载失败:com/amazon/deequ/checks/Check
- python - subprocess.check_output 不返回完整的输出与 oping
- c# - 为了使用我的 netcore api 连接到 Mysql,我应该使用 json 文件连接还是应该使用下面的代码
- javascript - 在 JavaScript 中创建自定义的全局和类方法
- asp.net - 如何将 HTML 地理位置纬度和经度值从视图发送到控制器操作方法