vhdl - 使用分层库路径的 VHDL-2008 实例化?
问题描述
你怎么称呼看起来像这样的 VHDL 实例化?:
Osvvm.TbUtilPkg.CreateClock (
Clk => Clk,
Period => Tperiod_Clk
);
这是 VHDL-2008 的新功能吗?使用这种实例化的规则是什么?看起来他们也放宽了允许匿名实例名称的规则?
这是我从上面的代码片段中提取的代码:
library ieee ;
use ieee.std_logic_1164.all ;
use ieee.numeric_std.all ;
use ieee.numeric_std_unsigned.all ;
library osvvm ;
context osvvm.OsvvmContext ;
library osvvm_AXI4 ;
context osvvm_AXI4.AxiStreamContext ;
entity TbStream is
end entity TbStream ;
architecture TestHarness of TbStream is
begin
-- create Clock
Osvvm.TbUtilPkg.CreateClock (
Clk => Clk,
Period => Tperiod_Clk
);
end entity;
解决方案
推荐阅读
- android - 手动关闭并重新启动应用程序时,Gluon 无法加载本机插件
- javascript - 为什么我的精灵不画?
- python - 根据 for 循环产生的数字计算总计(运行总计)
- arraylist - Arraylist 中的 Java IndexOutOfBoundsException
- wget - W获取多个目录目录
- mysql - UPDATE 和 SELECT 表连接
- ios - 获取核心数据显示数组中的项目数而不是字符串
- php - Flush 和 ob_flush 在 fastcgi php 中不起作用
- list - 如何简化可变列表中可变列表的分配
- python - Requests.get() 卡在连接上