首页 > 解决方案 > 使用分层库路径的 VHDL-2008 实例化?

问题描述

你怎么称呼看起来像这样的 VHDL 实例化?:

  Osvvm.TbUtilPkg.CreateClock ( 
    Clk        => Clk, 
    Period     => Tperiod_Clk 
  ); 

这是 VHDL-2008 的新功能吗?使用这种实例化的规则是什么?看起来他们也放宽了允许匿名实例名称的规则?

这是我从上面的代码片段中提取的代码:

library ieee ;
  use ieee.std_logic_1164.all ;
  use ieee.numeric_std.all ;
  use ieee.numeric_std_unsigned.all ;

library osvvm ;
context osvvm.OsvvmContext ;
    
library osvvm_AXI4 ;
context osvvm_AXI4.AxiStreamContext ;
    
entity TbStream is
end entity TbStream ; 
architecture TestHarness of TbStream is

begin

  -- create Clock 
  Osvvm.TbUtilPkg.CreateClock ( 
    Clk        => Clk, 
    Period     => Tperiod_Clk 
  ); 
  
end entity;

标签: vhdl

解决方案


推荐阅读