首页 > 解决方案 > Vivado 2020.1 错误“fixed_pkg”未在库“ieee_proposed”中编译

问题描述

我正在尝试使用:

图书馆 ieee_proposed; 使用 ieee_proposed.fixed_pkg.all;

我在 Vivado 中手动放置了库 IEEE_PROPOSED 以及类型 VHDL 2008。与我发现的这个 pdf 中的解释相同:

https://people-ece.vse.gmu.edu/coursewebpages/ECE/ECE448/S20/labs/sfixed_example/Fixed-point_types_in_Vivado.pdf

但我收到以下错误:错误'fixed_pkg'未在库'ieee_proposed'中编译

有人在 Vivado 中使用定点并且可以帮助我吗?谢谢!

标签: vhdlvivado

解决方案


推荐阅读