首页 > 解决方案 > 使用正则表达式拆分 verilog 元素描述

问题描述

编码工具:c++ builder或delphi

在 Verilog 语言中,包含逻辑单元和设备的网表如下例所示:

xor (pin1, pin2, pin3, pin4 ..... ) ;
nmos (parameter )  (pin1, pin2, pin3) ;
xor instancename (pin1, pin2, pin3, pin4 ..... ) ;
nmos (parameter )   (pin1, pin2, pin3) ; 

问题:如果从 Verilog 文本文件中使用正则表达式仅给出 1 个或有时 2 个括号并且前导词(1 或 2 个词)相同,如何拆分这些文本块?

非工作解决方案

\w*\s|\((.*?)\)

这得到了第一个单词,但我还需要括号内的文本作为第三个单词

标签: c++regexdelphi

解决方案


推荐阅读