首页 > 解决方案 > 在 FPGA 中生成多个延迟通道

问题描述

试图找到一种在 FPGA 上实时实现多通道延迟信号的方法。我的意图是 A/D 连续音频信号并将信号分成 10 个输出通道,每个通道的时间延迟不同的延迟量。每个通道之间的延迟在 10us 到 50us 之间变化。我正在尝试对音频信号进行波束成形。

标签: fpga

解决方案


可以在一个足够大的内存块上完成,以在所需的最长延迟时间内保存数据。

所以会有一个环形缓冲区,样本将被写入一个公共磁头,并从磁头以不同的偏移量读出,偏移量与所需的延迟相匹配。即使每秒几兆样本(不太可能是听得见的声音?),您应该能够使用简单的双端口 ram 块(一个写入端口,一个读取端口),甚至使用单端口 ram 来做到这一点。


推荐阅读