首页 > 技术文章 > UVM_INFO

YINBin 2017-05-10 01:37 原文

文件:src/ch3/section3.5/3.5.6/get/my_model.sv
 21 function void my_model::build_phase(uvm_phase phase);
 22   super.build_phase(phase);
 23   port = new("port", this);
 24   ap = new("ap", this);
 25  `uvm_info("my_model", $sformatf("before get, the pre_num is %0d", drv_pre_num), UVM_LOW)
 26  void'(uvm_config_db#(int)::get(this.m_parent, "i_agt.drv", "pre_num", drv_pre_num));
 27  `uvm_info("my_model", $sformatf("after get, the pre_num is %0d", drv_pre_num), UVM_LOW)
 28 endfunction

Verified:

   `uvm_info(get_full_name(), $sformatf("Value of payload[%0d] is 0x%0x.",i,tr.pload[i]),UVM_LOW);

推荐阅读