vhdl - 如何编写 VHDL 测试平台
问题描述
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity ripplecarry4bit is
port( a,b: in std_logic_vector (0 to 4);
cin: in std_logic;
s: out std_logic_vector (0 to 4);
cout: out std_logic);
end ripplecarry4bit;
architecture RC4 of ripplecarry4bit is
signal c: std_logic_vector (0 to 2);
component fulladder4bit is
port( a,b,cin: in std_logic;
s,cout: out std_logic);
end component;
begin
fa0: fulladder4bit port map(a(0), b(0), cin, s(0), c(0));
fa1: fulladder4bit port map(a(1), b(1), c(0), s(1), c(1));
fa2: fulladder4bit port map(a(2), b(2), c(1), s(2), c(2));
fa3: fulladder4bit port map(a(3), b(3), c(2), s(3), cout);
end RC4;
我写了这个 VHDL 代码,我想测试一下!你能解释一下如何写一个测试平台(或者如果你知道网上的一些指南,你能把它链接给我吗?我搜索过,但我没有找到任何具体的东西)?
解决方案
推荐阅读
- html - 截断多个单行文本元素
- reactjs - 错误运行构建 nextjs CI False
- python - 如果 dict().fromkeys() 都指向同一个对象,那么默认值参数的作用是什么?
- python - 改变ndarray的大小/长度?
- c# - 尝试将 Agora IO 与 Unity WebGL 集成。构建演示场景时出错
- rust - 在 trait 中定义一个方法,返回 Self 的默认实现
- dynamics-crm - 根据 PowerApps 信息在 D365 中创建潜在客户
- reactjs - React Developer Tools 将所有组件显示为“匿名”
- php - 如何在laravel中制作动态@yield?
- java - 为什么 HashMap 不适合整数键的大数据集?