首页 > 解决方案 > ghdl 安装中缺少 vhld 2008 库

问题描述

sudo apt-get install ghdl

似乎正确安装了所有东西,但没有 VHDL2008 库。我怎样才能添加这些?

/usr/bin/ghdl -i   --std=08 --work=work /home/user/Projects/endian_swapper/tests/../hdl/endian_swapper.vhdl && \
/usr/bin/ghdl -m   --std=08 --work=work endian_swapper_vhdl
/usr/bin/ghdl-gcc:warning: library ieee does not exists for v08
/usr/bin/ghdl-gcc:warning: library ieee does not exists for v08
/home/user/Projects/endian_swapper/tests/../hdl/endian_swapper.vhdl:42:9:error: cannot find resource library "ieee"
/home/user/Projects/endian_swapper/tests/../hdl/endian_swapper.vhdl:44:10:error: unit "std_logic_1164" not found in library "ieee"
/home/user/Projects/endian_swapper/tests/../hdl/endian_swapper.vhdl:45:10:error: unit "numeric_std" not found in library "ieee"

~

标签: ghdl

解决方案


对于 GHDL-v0.37,我也遇到了这个问题。尝试安装开发者版本。

git clone https://github.com/ghdl/ghdl.git
cd ghdl
sudo apt install gnat
./configure --prefix=/usr/local
make
make install

参考:


推荐阅读