首页 > 解决方案 > 将向量解包成一定位宽的数组

问题描述

假设我有一个位向量。我想将它转换成一个n位值的数组,其中n是一个变量(不是参数)。我可以使用流媒体运营商实现这一目标吗?我试过这个(现在我只是尝试一个 3 的值,但最终 '3' 应该是可变的):

module tb;
  bit [51:0] vector = 'b111_110_101_100_011_010_001_000;
  byte vector_byte[];
  
  initial begin
    $displayb(vector);
    vector_byte = {<<3{vector}};
    foreach(vector_byte[i])
      $display("%0d = %0b", i, vector_byte[i]);
  end
endmodule

我所期待的是:

vector_byte = '{'b000, 'b001, 'b010 ... 'b111};

但是,我得到的输出是:

# vsim -voptargs=+acc=npr
# run -all
# 00000000000000000000000000000000111110101100011010001000
# 0 = 101
# 1 = 111001
# 2 = 1110111
# 3 = 0
# 4 = 0
# 5 = 0
# 6 = 0
# exit

我只是错误地使用了流媒体运营商吗?

标签: system-verilog

解决方案


流式操作符仅适用于连续的流。您需要将 5'b00000 插入每个字节。

module tb;
  bit [51:0] vector = 'b111_110_101_100_011_010_001_000;
  int W = 3;
  byte vector_byte[];
  
  initial begin
    vector_byte = new[$bits(vector)/3];
    $displayb(vector);
    foreach(vector_byte[i]) begin
      vector_byte[i] = vector[i*W+:8] & (1<<W)-1; // mask W is in range 1-8
      $display("%0d = %0b", i, vector_byte[i]);
    end
  end
endmodule

推荐阅读