首页 > 解决方案 > ghdl-yosys-plugin 编译失败

问题描述

我即将为我的学生测试 GHDL 和 Yosys 作为 EDA 专有设计流程的替代品。我的观点是关于 VHDL 综合。

我全新安装了几个工具:trellis (ECP5)、yosys、nexpnr、fujprog (ulx3s)。

GHDL 也安装了更长的时间,但它应该也包括综合(--synth 选项有效)。

ghdl -v 
GHDL 1.0-dev (v0.37.0-208-g2c66a8bd) [Dunoon edition]
Compiled with GNAT Version: Community 2019 (20190517-83)
llvm code generator

看来我也需要ghdl-yosys-plugin。但是,安装对我不起作用。

我收到一堆错误消息:

make GHDL=/opt/ghdl/bin/ghdl
yosys-config --exec --cxx -c --cxxflags -o ghdl.o src/ghdl.cc -fPIC -DYOSYS_ENABLE_GHDL -I/opt/ghdl/include -O
src/ghdl.cc:361:2: error: unknown type name 'Attribute'
        Attribute attr = get_first_attribute (inst);
        ^
src/ghdl.cc:361:19: error: use of undeclared identifier 'get_first_attribute'
        Attribute attr = get_first_attribute (inst);
                         ^
src/ghdl.cc:379:7: error: use of undeclared identifier 'Id_Posedge'; did you mean 'Id_Edge'?
        case Id_Posedge:
             ^~~~~~~~~~
             Id_Edge

ETC

我错过了一些东西。有人可以帮忙吗?

在此先感谢 JCLL

标签: yosysghdl

解决方案


正如我所怀疑的(尽管版本控制似乎正确),全新安装的 GHDL 解决了这个问题。


推荐阅读